검색결과

검색조건
좁혀보기
검색필터
결과 내 재검색

간행물

    분야

      발행연도

      -

        검색결과 208

        61.
        2014.05 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Silicon-carbon composite was prepared by the magnesiothermic reduction of mesoporous silica and subsequent impregnation with a carbon precursor. This was applied for use as an anode material for high-performance lithium-ion batteries. Well-ordered mesoporous silica(SBA-15) was employed as a starting material for the mesoporous silicon, and sucrose was used as a carbon source. It was found that complete removal of by-products (Mg2Si and Mg2SiO4) formed by side reactions of silica and magnesium during the magnesiothermic reduction, was a crucial factor for successful formation of mesoporous silicon. Successful formation of the silicon-carbon composite was well confirmed by appropriate characterization tools (e.g., N2 adsorption-desorption, small-angle X-ray scattering, X-ray diffraction, and thermogravimetric analyses). A lithium-ion battery was fabricated using the prepared silicon-carbon composite as the anode, and lithium foil as the counter-electrode. Electrochemical analysis revealed that the silicon-carbon composite showed better cycling stability than graphite, when used as the anode in the lithium-ion battery. This improvement could be due to the fact that carbon efficiently suppressed the change in volume of the silicon material caused by the charge-discharge cycle. This indicates that silicon-carbon composite, prepared via the magnesiothermic reduction and impregnation methods, could be an efficient anode material for lithium ion batteries.
        4,000원
        62.
        2014.03 KCI 등재 구독 인증기관 무료, 개인회원 유료
        목적: 새로운 실리콘 모노머를 사용하여 제조한 실리콘하이드로겔 콘택트렌즈와 2종류의 시판용 렌즈에 hyaluronan을 첨가한 다음 lysozyme, albumin, globulin 3종류 단백질에 대한 흡착량의 변화를 살펴보고자 한다. 방법: 실험실에서 제조한 렌즈는 HEMA(2-hydroxyethyl methacrylate, 96%, Junsei)와 TRIM (3-(trimethoxysilyl)propyl methacrylate, 98%, Aldrich) 등의 모노머를 사용하여 cast mould 방법으로 제조하였다. HA(Hyaluronic acid, LifeCore Biomedical) 첨가는 HA 에탄올 용액과 EDC (1-(3-(Dimethylamino)propyl)-3-ethylcarbodiimide methiodide, Aldrich) 용액을 사용하였다. HA의 첨가 전 후 렌즈의 함수율, 광 투과율 등의 물리적 특성 변화와 lysozyme, albumin, globulin이 포함된 인공눈물을 제조하여 48시간 동안 흡착량 변화를 살펴보았다. 각각의 단백질은 HPLC로 정량하였다. 결과: HA가 첨가된 렌즈의 함수율은 첨가 전의 값에 비해 약 10% 증가하였으며, 광 투과율은 큰 변화가 없었다. HA를 첨가한 렌즈에서 시간에 따른 HA의 용출량은 48시간 동안 지수함수 형태로 증가하였다. HA가 첨가되지 않은 렌즈에 비해 HA를 첨가한 모든 렌즈에서 단백질 흡착량이 감소하였으며, 흡착시간이 경과함에 따라 그 감소효과가 크게 줄었다. 단백질의 종류에 따른 흡착량은 lysozyme>globulin>albumin의 순으로 감소하였으며, SHL 렌즈에서 최대 80% 이상 감소하였다. 결 론: Hyaluronan이 첨가된 모든 실리콘하이드로겔 콘택트렌즈에서 단백질 흡착량이 감소하였다. 실리콘하이드로겔 렌즈에 3종류 단백질의 흡착 특성은 렌즈 표면의 친수성에 가장 크게 영향을 받음을 확인할 수 있었으며, 수소결합이 가능한 hyaluronan의 첨가로 인해 렌즈의 친수성을 증가시키고 이로 인해 단백질의 구조적 변성을 방지하여 단백질 흡착을 억제하는 것으로 생각된다.
        4,200원
        65.
        2013.12 KCI 등재 구독 인증기관 무료, 개인회원 유료
        본 연구에서는 가속된 이온이 전기장이 걸려있는 freestanding 단결정 실리콘 나노 박막에 충돌했을 때 발생하는 열-전계 전자 방출 특성을 여러 전계 및 열적 조건 아래 체계적으로 분석하였다. 이온 충돌에 의한 열-전계 전자 방출은 쇼트키 효과 (schottky effect)의 선형영역의 특성에 의해 예측된 바와 같이 전계의 세기가 증가할수록 선형적으로 증가했으며, 이온 충돌에 의해 발생하는 열에너지의 제곱에 비례하는 특성을 보여주었다. 이러한 특성들은 실리콘 나노 박막의 질량 분석기용 이온 검출기로의 사용 가능성을 보여준다.
        4,000원
        66.
        2013.10 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        As continued scaling becomes increasingly difficult, 3D integration has emerged as a viable solution to achieve higher bandwidths and good power efficiency. 3D integration can be defined as a technology involving the stacking of multiple processed wafers containing integrated circuits on top of each other with vertical interconnects between the wafers. This type of 3D structure can improve performance levels, enable the integration of devices with incompatible process flows, and reduce form factors. Through silicon vias (TSVs), which directly connect stacked structures die-to-die, are an enabling technology for future 3D integrated systems. TSVs filled with copper using an electro-plating method are investigated in this study. DC and pulses are used as a current source for the electro-plating process as a means of via filling. A TiN barrier and Ru seed layers are deposited by plasma-enhanced atomic layer deposition (PEALD) with thicknesses of 10 and 30 nm, respectively. All samples electroplated by the DC current showed defects, even with additives. However, the samples electroplated by the pulse current showed defect-free super-filled via structures. The optimized condition for defect-free bottom-up super-filling was established by adjusting the additive concentrations in the basic plating solution of copper sulfate. The optimized concentrations of JGB and SPS were found to be 10 and 20 ppm, respectively.
        4,000원
        67.
        2013.07 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        We investigated the nanostructural, chemical and optical properties of nc-Si:H films according to deposition conditions. Plasma enhanced chemical vapor deposition(PECVD) techniques were used to produce nc-Si:H thin films. The hydrogen dilution ratio in the precursors, [SiH4/H2], was fixed at 0.03; the substrate temperature was varied from room temperature to 600˚C. By raising the substrates temperature up to 400˚C, the nanocrystalite size was increased from ~2 to ~7 nm and the Si crystal volume fraction was varied from ~9 to ~45% to reach their maximum values. In high-resolution transmission electron microscopy(HRTEM) images, Si nanocrystallites were observed and the crystallite size appeared to correspond to the crystal size values obtained by X-ray diffraction(XRD) and Raman Spectroscopy. The intensity of high-resolution electron energy loss spectroscopy(EELS) peaks at ~99.9 eV(Si L2,3 edge) was sensitively varied depending on the formation of Si nanocrystallites in the films. With increasing substrate temperatures, from room temperature to 600˚C, the optical band gap of the nc-Si:H films was decreased from 2.4 to 1.9 eV, and the relative fraction of Si-H bonds in the films was increased from 19.9 to 32.9%. The variation in the nanostructural as well as chemical features of the films with substrate temperature appears to be well related to the results of the differential scanning calorimeter measurements, in which heat-absorption started at a substrate temperature of 180˚C and the maximum peak was observed at ~370˚C.
        4,000원
        68.
        2013.06 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        The relationship the between electrical properties and surface roughness (Ra) of a wet-etched silicon wafer were studied. Ra was measured by an alpha-step process and atomic force microscopy (AFM) while varying the measuring range 10×10, 40×40, and 1000×1000μm. The resistivity was measured by assessing the surface resistance using a four-point probe method. The relationship between the resistivity and Ra was explained in terms of the surface roughness. The minimum error value between the experimental and theoretical resistivities was 4.23% when the Ra was in a range of 10×10μm according to AFM measurement. The maximum error value was 14.09% when the Ra was in a range of 40×40μm according to AFM measurement. Thus, the resistivity could be estimated when the Ra was in a narrow range.
        4,000원
        69.
        2013.06 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        The electrical properties and surface morphology changes of a silicon wafer as a function of the HF concentration as the wafer is etched were studied. The HF concentrations were 28, 30, 32, 34, and 36 wt%. The surface morphology changes of the silicon wafer were measured by an SEM (80˚ tilted at ×200) and the resistivity was measured by assessing the surface resistance using a four-point probe method. The etching rate increased as the HF concentration increased. The maximum etching rate 27.31 μm/min was achieved at an HF concentration of 36 wt%. A concave wave formed on the wafer after the wet etching process. The size of the wave was largest and the resistivity reached 7.54 ohm·cm at an 30 wt% of HF concentration. At an HF concentration of 30 wt%, therefore, a silicon wafer should have good joining strength with a metal backing as well as good electrical properties.
        4,000원
        70.
        2013.05 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Silicon nanoparticle is a promising material for electronic devices, photovoltaics, and biological applications. Here, we synthesize silicon nanoparticles via CO2 laser pyrolysis and study the hydrogen flow effects on the characteristics of silicon nanoparticles using high resolution transmission electron microscopy (HRTEM), X-ray diffraction (XRD), and UV-Vis-NIR spectrophotometry. In CO2 laser pyrolysis, used to synthesize the silicon nanoparticles, the wavelength of the CO2 laser matches the absorption cross section of silane. Silane absorbs the CO2 laser energy at a wavelength of 10.6μm. Therefore, the laser excites silane, dissociating it to Si radical. Finally, nucleation and growth of the Si radicals generates various silicon nanoparticle. In addition, researchers can introduce hydrogen gas into silane to control the characteristics of silicon nanoparticles. Changing the hydrogen flow rate affects the nanoparticle size and crystallinity of silicon nanoparticles. Specifically, a high hydrogen flow rate produces small silicon nanoparticles and induces low crystallinity. We attribute these characteristics to the low density of the Si precursor, high hydrogen passivation probability on the surface of the silicon nanoparticles, and low reaction temperature during the synthesis.
        4,000원
        71.
        2013.02 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        For fabricating silicon solar cells with high conversion efficiency, texturing is one of the most effective techniques to increase short circuit current by enhancing light trapping. In this study, four different types of textures, large V-groove, large U-groove, small V-groove, and small U-groove, were prepared by a wet etching process. Silicon substrates with V-grooves were fabricated by an anisotropic etching process using a KOH solution mixed with isopropyl alcohol (IPA), and the size of the V-grooves was controlled by varying the concentration of IPA. The isotropic etching process following anisotropic etching resulted in U-grooves and the isotropic etching time was determined to obtain U-grooves with an opening angle of approximately 60˚. The results indicated that U-grooves had a larger diffuse reflectance than V-grooves and the reflectances of small grooves was slightly higher than those of large grooves depending on the size of the grooves. Then amorphous Si:H thin film solar cells were fabricated on textured substrates to investigate the light trapping effect of textures with different shapes and sizes. Among the textures fabricated in this work, the solar cells on the substrate with small U-grooves had the largest short circuit current, 19.20 mA/cm2. External quantum efficiency data also demonstrated that the small, U-shape textures are more effective for light trapping than large, V-shape textures.
        4,000원
        72.
        2012.11 구독 인증기관 무료, 개인회원 유료
        This paper, the hardness of the silicone rubber wire for 50, 60 degrees, 70 degrees High Temperature Vulcanizing (HTV) method using specimens were fabricated. In this paper, in order to investigate the dielectric properties of silicone rubber for wire specimens, the temperature range of 30℃~170℃, the frequency range from 100Hz~4.5MHz report surveyed about the frequency and temperature dependent properties.
        4,600원
        73.
        2012.06 KCI 등재 구독 인증기관 무료, 개인회원 유료
        목 적: 전기분해 자동분석법(polarographic method)을 이용한 산소침투성 측정장비의 신뢰도를 평가하 고, 하이드로겔 및 실리콘 하이드로겔 콘택트렌즈 재질들의 산소침투성(Oxygen permeability; Dk)을 측정 하여 제조사에서 제시된 값과 비교하고자 하였다. 방 법: Dk 값이 제시되어 있는 -3.00D의 하이드로겔 및 실리콘 하이드로겔 재질의 콘택트렌즈 8종을 선 정하고, 전기분해 자동분석기를 이용하여 산소침투성을 측정하였다. 각 측정 표본은 국제표준화기구(ISO) 규정에 따라 측정하고 Fatt와 Chasten의 계산식을 이용하여 보정하였다. 결 과: 8종의 시료 가운데 5종은 제조사에서 제시한 Dk 값보다 약간 높게 측정되었으며, 3종은 제조사에 서 제시한 값보다 다소 낮게 측정되었다. 한 가지 두께의 측정값을 이용하여 계산한 Dk 값과 여러 가지 두 께의 측정값들을 이용하여 계산한 Dk 값은 큰 차이가 있었으며, 여러 가지 두께의 측정값들을 이용하여 계 산한 Dk 값의 신뢰성이 더 높았다. 결 론: 3종의 콘택트렌즈는 제조사에서 제시한 Dk 값보다 다소 낮게 측정되었으나, 편차를 감안한 값들 의 일부는 제시된 Dk 값을 기준으로 ISO에서 정한 오차 범위 안에 포함되어 있었다. 본 연구에 사용된 전기 분해 자동분석기의 성능은 ISO 규격에 적합하며, Dk 값을 측정할 때 여러 가지 두께의 콘택트렌즈를 측정하 여 계산하는 것이 타당하다고 사료된다.
        4,200원
        74.
        2012.06 KCI 등재 구독 인증기관 무료, 개인회원 유료
        Mass production-capable powder was synthesized for use as cathode material in state-of-the-art lithium-ion batteries. These batteries are main powder sources for high tech-end digital electronic equipments and electric vehicles in the near future and they must possess high specific capacity and durable charge-discharge characteristics. Amorphous silicone was quite superior to crystalline one as starting material to fabricate silicone oxide with high reactivity between precursors of sol-gel type reaction intermediates. The amorphous silicone starting material also has beneficial effect of efficiently controlling secondary phases, most notably . Lastly, carbon was coated on powders by using sucrose to afford some improved electrical conductivity. The carbon-coated cathode material was further characterized using SEM, XRD, and galvanostatic charge/discharge test method for morphological and electrochemical examinations. Coin cell was subject to 1.5-4.8 V at C/20, where 74 mAh/g was observed during primary discharge cycle.
        4,000원
        75.
        2012.04 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        The formation of high-quality polycrystalline silicon (poly-Si) on relatively low cost substrate has been an important issue in the development of thin film solar cells. Poly-Si seed layers were fabricated by an inverse aluminum-induced crystallization (I-AIC) process and the properties of the resulting layer were characterized. The I-AIC process has an advantage of being able to continue the epitaxial growth without an Al layer removing process. An amorphous Si precursor layer was deposited on Corning glass substrates by RF magnetron sputtering system with Ar plasma. Then, Al thin film was deposited by thermal evaporation. An SiO2 diffusion barrier layer was formed between Si and Al layers to control the surface orientation of seed layer. The crystallinity of the poly-Si seed layer was analyzed by Raman spectroscopy and x-ray diffraction (XRD). The grain size and orientation of the poly-Si seed layer were determined by electron back scattering diffraction (EBSD) method. The prepared poly-Si seed layer showed high volume fraction of crystalline Si and<100> orientation. The diffusion barrier layer and processing temperature significantly affected the grain size and orientation of the poly Si seed layer. The shorter oxidation time and lower processing temperature led to a better orientation of the poly-Si seed layer. This study presents the formation mechanism of a poly seed layer by inverse aluminum-induced crystallization.
        4,000원
        76.
        2012.01 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Silicon-based thin film was prepared at room temperature by an electrochemical deposition method and a feasibility study was conducted for its use as an anode material in a rechargeable lithium battery. The growth of the electrodeposits was mainly concentrated on the surface defects of the Cu substrate while that growth was trivial on the defect-free surface region. Intentional formation of random defects on the substrate by chemical etching led to uniform formation of deposits throughout the surface. The morphology of the electrodeposits reflected first the roughened surface of the substrate, but it became flattened as the deposition time increased, due primarily to the concentration of reduction current on the convex region of the deposits. The electrodeposits proved to be amorphous and to contain chlorine and carbon, together with silicon, indicating that the electrolyte is captured in the deposits during the fabrication process. The silicon in the deposits readily reacted with lithium, but thick deposits resulted in significant reaction overvoltage. The charge efficiency of oxidation (lithiation) to reduction (delithiation) was higher in the relatively thick deposit. This abnormal behavior needs to clarified in view of the thickness dependence of the internal residual stress and the relaxation tendency of the reaction-induced stress due to the porous structure of the deposits and the deposit components other than silicon.
        4,000원
        77.
        2011.09 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Transparent conducting oxides (TCOs) used in the antireflection layer and current spreading layer of heterojunction solar cells should have excellent optical and electrical properties. Furthermore, TCOs need a high work function over 5.2 eV to prevent the effect of emitter band-bending caused by the difference in work function between emitter and TCOs. Sn-doped In2O3 (ITO) film is a highly promising material as a TCO due to its excellent optical and electrical properties. However, ITO films have a low work function of about 4.8 eV. This low work function of ITO films leads to deterioration of the conversion efficiency of solar cells. In this work, ITO films with various Zn contents of 0, 6.9, 12.7, 28.8, and 36.6 at.% were fabricated by a co-sputtering method using ITO and AZO targets at room temperature. The optical and electrical properties of Zn-doped ITO thin films were analyzed. Then, silicon heterojunction solar cells with these films were fabricated. The 12.7 at% Zn-doped ITO films show the highest hall mobility of 35.71 cm2/Vsec. With increasing Zn content over 12.7, the hall mobility decreases. Although a small addition of Zn content increased the work function, further addition of Zn content over 12.7 at.% led to decreasing electrical properties because of the decrease in the carrier concentration and hall mobility. Silicon heterojunction solar cells with 12.7 at% Zn-doped ITO thin films showed the highest conversion efficiency of 15.8%.
        4,000원
        78.
        2011.06 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        In this study, we inserted a Zn buffer layer into a AZO/p-type a-si:H layer interface in order to lower the contact resistance of the interface. For the Zn layer, the deposition was conducted at 5 nm, 7 nm and 10 nm using the rf-magnetron sputtering method. The results were compared to that of the AZO film to discuss the possibility of the Zn layer being used as a transparent conductive oxide thin film for application in the silicon heterojunction solar cell. We used the rf-magnetron sputtering method to fabricate Al 2 wt.% of Al-doped ZnO (AZO) film as a transparent conductive oxide (TCO). We analyzed the electro-optical properties of the ZnO as well as the interface properties of the AZO/p-type a-Si:H layer. After inserting a buffer layer into the AZO/p-type a-Si:H layers to enhance the interface properties, we measured the contact resistance of the layers using a CTLM (circular transmission line model) pattern, the depth profile of the layers using AES (auger electron spectroscopy), and the changes in the properties of the AZO thin film through heat treatment. We investigated the effects of the interface properties of the AZO/p-type a-Si:H layer on the characteristics of silicon heterojunction solar cells and the way to improve the interface properties. When depositing AZO thin film on a-Si layer, oxygen atoms are diffused from the AZO thin film towards the a-Si layer. Thus, the characteristics of the solar cells deteriorate due to the created oxide film. While a diffusion of Zn occurs toward the a-Si in the case of AZO used as TCO, the diffusion of In occurs toward a-Si in the case of ITO used as TCO.
        4,000원
        79.
        2011.05 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        To reduce manufacturing costs of crystalline silicon solar cells, silicon wafers have become thinner. In relation to this, the properties of the aluminium-back surface field (Al-BSF) are considered an important factor in solar cell performance. Generally, screen-printing and a rapid thermal process (RTP) are utilized together to form the Al-BSF. This study evaluates Al-BSF formation on a (111) textured back surface compared with a (100) flat back surface with variation of ramp up rates from 18 to 89˚C/s for the RTP annealing conditions. To make different back surface morphologies, one side texturing using a silicon nitride film and double side texturing were carried out. After aluminium screen-printing, Al-BSF formed according to the RTP annealing conditions. A metal etching process in hydrochloric acid solution was carried out to assess the quality of Al-BSF. Saturation currents were calculated by using quasi-steady-state photoconductance. The surface morphologies observed by scanning electron microscopy and a non-contacting optical profiler. Also, sheet resistances and bulk carrier concentration were measured by a 4-point probe and hall measurement system. From the results, a faster ramp up during Al-BSF formation yielded better quality than a slower ramp up process due to temperature uniformity of silicon and the aluminium surface. Also, in the Al-BSF formation process, the (111) textured back surface is significantly affected by the ramp up rates compared with the (100) flat back surface.
        4,000원
        1 2 3 4 5