검색결과

검색조건
좁혀보기
검색필터
결과 내 재검색

간행물

    분야

      발행연도

      -

        검색결과 35

        1.
        2023.11 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Recently, the electron transport layer (ETL) has become one of the key components for high-performance perovskite solar cell (PSC). This study is motivated by the nonreproducible performance of ETL made of spin coated SnO2 applied to a PSC. We made a comparative study between tin oxide deposited by atomic layer deposition (ALD) or spin coating to be used as an ETL in N-I-P PSC. 15 nm-thick Tin oxide thin films were deposited by ALD using tetrakisdimethylanmiotin (TDMASn) and using reactant ozone at 120 °C. PSC using ALD SnO2 as ETL showed a maximum efficiency of 18.97 %, and PSC using spin coated SnO2 showed a maximum efficiency of 18.46 %. This is because the short circuit current (Jsc) of PSC using the ALD SnO2 layer was 0.75 mA/cm2 higher than that of the spin coated SnO2. This result can be attributed to the fact that the electron transfer distance from the perovskite is constant due to the thickness uniformity of ALD SnO2. Therefore ALD SnO2 is a candidate as a ETL for use in PSC vacuum deposition.
        4,000원
        4.
        2023.06 구독 인증기관 무료, 개인회원 유료
        본 연구에서는 공정 간소화, 균일한 나노 입자 형성, 백금 저감 및 활용도를 높이기 위하여 원자층 증착법 (Atomic Layer Deposition, ALD)을 통하여 양이온 교환막 연료전지용 촉매를 제조하고 증착 온도에 따른 백금 입자 형성 거동 을 확인하였다. 증착 온도는 250 °C, 300 °C, 350 °C로 조절하여 백금 촉매를 형성하였으며 각 각의 촉매의 증착 양 상을 확인하기 위하여 Thermogravimetric analysis, X-ray diffraction 및 Transmission electron microscopy를 도입하여 담지량, 백금 입자 분포, 크기 및 결정구조 등을 확인하였다. 합성된 백금 촉매를 연료전지에 적용하기 위해서 Cyclic Voltammetry 기법을 통해서 전기화학적 활성 표면적를 구하고, Membrane Electrode Assembly 셀을 제작하여 전지 특성을 확보하였다. 최종적으로, 백금 촉매 제조 시 ALD 증착 온도는 300 °C 이하에서 합성해야 됨을 밝혀냈으며, ALD으로 제작된 백금 촉매가 기존 습식 촉매보다 더 우수한 특성을 보임을 확인하였다. 해당 연구는 ALD을 통하여 다양한 접근법으로 촉매를 제조할 시, 기본적인 ALD 공정 정보 및 ALD 촉매 합성 방향성을 제공할 수 있다.
        4,000원
        5.
        2023.04 KCI 등재 구독 인증기관 무료, 개인회원 유료
        The semiconductor industry faces physical limitations due to its top-down manufacturing processes. High cost of EUV equipment, time loss during tens or hundreds of photolithography steps, overlay, etch process errors, and contamination issues owing to photolithography still exist and may become more serious with the miniaturization of semiconductor devices. Therefore, a bottom-up approach is required to overcome these issues. The key technology that enables bottom-up semiconductor manufacturing is area-selective atomic layer deposition (ASALD). Here, various ASALD processes for elemental metals, such as Co, Cu, Ir, Ni, Pt, and Ru, are reviewed. Surface treatments using chemical species, such as self-assembled monolayers and small-molecule inhibitors, to control the hydrophilicity of the surface have been introduced. Finally, we discuss the future applications of metal ASALD processes.
        4,500원
        6.
        2023.04 KCI 등재 구독 인증기관 무료, 개인회원 유료
        Thermoelectric materials and devices are energy-harvesting devices that can effectively recycle waste heat into electricity. Thermoelectric power generation is widely used in factories, engines, and even in human bodies as they continuously generate heat. However, thermoelectric elements exhibit poor performance and low energy efficiency; research is being conducted to find new materials or improve the thermoelectric performance of existing materials, that is, by ensuring a high figure-of-merit (zT) value. For increasing zT, higher σ (electrical conductivity) and S (Seebeck coefficient) and a lower к (thermal conductivity) are required. Here, interface engineering by atomic layer deposition (ALD) is used to increase zT of n-type BiTeSe (BTS) thermoelectric powders. ALD of the BTS powders is performed in a rotary-type ALD reactor, and 40 to 100 ALD cycles of ZnO thin films are conducted at 100oC. The physical and chemical properties and thermoelectric performance of the ALD-coated BTS powders and pellets are characterized. It is revealed that electrical conductivity and thermal conductivity are decoupled, and thus, zT of ALD-coated BTS pellets is increased by more than 60% compared to that of the uncoated BTS pellets. This result can be utilized in a novel method for improving the thermoelectric efficiency in materials processing.
        4,000원
        7.
        2022.02 KCI 등재 구독 인증기관 무료, 개인회원 유료
        Atomic layer deposition (ALD) is a promising technology for the uniform deposition of thin films. ALD is based on a self-limiting mechanism, which can effectively deposit thin films on the surfaces of powders of various sizes. Numerous studies are underway to improve the performance of thermoelectric materials by forming core-shell structures in which various materials are deposited on the powder surface using ALD. Thermoelectric materials are especially relevant as clean energy storage materials due to their ability to interconvert between thermal and electrical energy by the Seebeck and Peltier effects. Herein, we introduce a surface and interface modification strategy based on ALD to control the performance of thermoelectric materials. We also discuss the properties of the interface between various deposition materials and thermoelectric materials.
        4,000원
        8.
        2021.06 KCI 등재 구독 인증기관 무료, 개인회원 유료
        The SnSe single crystal shows an outstanding figure of merit (ZT) of 2.6 at 973 K; thus, it is considered to be a promising thermoelectric material. However, the mass production of SnSe single crystals is difficult, and their mechanical properties are poor. Alternatively, we can use polycrystalline SnSe powder, which has better mechanical properties. In this study, surface modification by atomic layer deposition (ALD) is chosen to increase the ZT value of SnSe polycrystalline powder. SnSe powder is ground by a ball mill. An ALD coating process using a rotary-type reactor is adopted. ZnO thin films are grown by 100 ALD cycles using diethylzinc and H2O as precursors at 100oC. ALD is performed at rotation speeds of 30, 40, 50, and 60 rpm to examine the effects of rotation speed on the thin film characteristics. The physical and chemical properties of ALD-coated SnSe powders are characterized by scanning and tunneling electron microscopy combined with energy-dispersive spectroscopy. The results reveal that a smooth oxygenrich ZnO layer is grown on SnSe at a rotation speed of 30 rpm. This result can be applied for the uniform coating of a ZnO layer on various powder materials.
        4,000원
        9.
        2020.12 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Silicon heterojunction solar cells can achieve high conversion efficiency with a simple structure. In this study, we investigate the passivation characteristics of VOx thin films as a hole-selective contact layer using ALD (atomic layer deposition). Passivation characteristics improve with iVoc (implied open-circuit voltage) of 662 mV and minority carrier lifetime of 73.9 μs after post-deposition annealing (PDA) at 100 oC. The improved values are mainly attributed to a decrease in carbon during the VOx thin film process after PDA. However, once it is annealed at temperatures above 250 oC the properties are rapidly degraded. X-ray photoelectron spectroscopy is used to analyze the chemical states of the VOx thin film. As the annealing temperature increases, it shows more formation of SiOx at the interface increases. The ratio of V5+ to V4+, which is the oxidation states of vanadium oxide thin films, are 6:4 for both as-deposition and annealing at 100 oC, and 5:5 for annealing at 300 oC. The lower the carbon content of the ALD VOx film and the higher the V5+ ratio, the better the passivation characteristics.
        4,000원
        10.
        2020.02 KCI 등재 구독 인증기관 무료, 개인회원 유료
        It is necessary to fabricate uniformly dispersed nanoscale catalyst materials with high activity and long-term stability for polymer electrolyte membrane fuel cells with excellent electrochemical characteristics of the oxygen reduction reaction and hydrogen oxidation reaction. Platinum is known as the best noble metal catalyst for polymer electrolyte membrane fuel cells because of its excellent catalytic activity. However, given that Pt is expensive, considerable efforts have been made to reduce the amount of Pt loading for both anode and cathode catalysts. Meanwhile, the atomic layer deposition (ALD) method shows excellent uniformity and precise particle size controllability over the three-dimensional structure. The research progress on noble metal ALD, such as Pt, Ru, Pd, and various metal alloys, is presented in this review. ALD technology enables the development of polymer electrolyte membrane fuel cells with excellent reactivity and durability.
        4,000원
        11.
        2019.11 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Molybdenum is a low-resistivity transition metal that can be applied to silicon devices using Si-metal electrode structures and thin film solar cell electrodes. We investigate the deposition of metal Mo thin film by plasma-enhanced atomic layer deposition (PE-ALD). Mo(CO)6 and H2 plasma are used as precursor. H2 plasma is induced between ALD cycles for reduction of Mo(CO)6 and Mo film is deposited on Si substrate at 300℃. Through variation of PE-ALD conditions such as precursor pulse time, plasma pulse time and plasma power, we find that these conditions result in low resistivity. The resistivity is affected by Mo pulse time. We can find the reason through analyzing XPS data according to Mo pulse time. The thickness uniformity is affected by plasma power. The lowest resistivity is 176 μΩ·cm at Mo(CO)6 pulse time 3s. The thickness uniformity of metal Mo thin film deposited by PE-ALD shows a value of less than 3% below the plasma power of 200 W.
        4,000원
        12.
        2019.09 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Aluminum nitride (AlN) has versatile and intriguing properties, such as wide direct bandgap, high thermal conductivity, good thermal and chemical stability, and various functionalities. Due to these properties, AlN thin films have been applied in various fields. However, AlN thin films are usually deposited by high temperature processes like chemical vapor deposition. To further enlarge the application of AlN films, atomic layer deposition (ALD) has been studied as a method of AlN thin film deposition at low temperature. In this mini review paper, we summarize the results of recent studies on AlN film grown by thermal and plasma enhanced ALD in terms of processing temperature, precursor type, reactant gas, and plasma source. Thermal ALD can grow AlN thin films at a wafer temperature of 150~550 oC with alkyl/amine or chloride precursors. Due to the low reactivity with NH3 reactant gas, relatively high growth temperature and narrow window are reported. On the other hand, PEALD has an advantage of low temperature process, while crystallinity and defect level in the film are dependent on the plasma source. Lastly, we also introduce examples of application of ALD-grown AlN films in electronics.
        4,200원
        13.
        2019.06 KCI 등재 구독 인증기관 무료, 개인회원 유료
        Atomic layer deposition (ALD) is widely used as a tool for the formation of near-atomically flat and uniform thin films in the semiconductor and display industries because of its excellent uniformity. Nowadays, ALD is being extensively used in diverse fields, such as energy and biology. By controlling the reactivity of the surface, either homogeneous or inhomogeneous coating on the shell of nanostructured powder can be accomplished by the ALD process. However, the ALD process on the powder largely depends on the displacement of powder in the reactor. Therefore, the technology for the fluidization of the powder is very important to redistribute its position during the ALD process. Herein, an overview of the three types of ALD reactors to agitate or fluidize the powder to improve the conformality of coating is presented. The principle of fluidization its advantages, examples, and limitations are addressed.
        4,000원
        14.
        2019.05 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Hole carrier selective MoOx film is obtained by atomic layer deposition(ALD) using molybdenum hexacarbonyl[Mo(CO)6] as precursor and ozone(O3) oxidant. The growth rate is about 0.036 nm/cycle at 200 g/Nm of ozone concentration and the thickness of interfacial oxide is about 2 nm. The measured band gap and work function of the MoOx film grown by ALD are 3.25 eV and 8 eV, respectively. X-ray photoelectron spectroscopy(XPS) result shows that the Mo6+ state is dominant in the MoOx thin film. In the case of ALD-MoOx grown on Si wafer, the ozone concentration does not affect the passivation performance in the as-deposited state. But, the implied open-circuit voltage increases from 576 oC to 620 oC at 250 g/Nm after post-deposition annealing at 350 oC in a forming gas ambient. Instead of using a p-type amorphous silicon layer, high work function MoOx films as hole selective contact are applied for heterojunction silicon solar cells and the best efficiency yet recorded (21 %) is obtained.
        4,000원
        15.
        2018.04 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        TaNx film is grown by plasma enhanced atomic layer deposition (PEALD) using t-butylimido tris(dimethylamido) tantalum as a metalorganic source with various reactive gas species, such as N2+H2 mixed gas, NH3, and H2. Although the pulse sequence and duration are the same, aspects of the film growth rate, microstructure, crystallinity, and electrical resistivity are quite different according to the reactive gas. Crystallized and relatively conductive film with a higher growth rate is acquired using NH3 as a reactive gas while amorphous and resistive film with a lower growth rate is achieved using N2+H2 mixed gas. To examine the relationship between the chemical properties and resistivity of the film, X-ray photoelectron spectroscopy (XPS) is conducted on the ALD-grown TaNx film with N2+H2 mixed gas, NH3, and H2. For a comparison, reactive sputter-grown TaNx film with N2 is also studied. The results reveal that ALD-grown TaNx films with NH3 and H2 include a metallic Ta-N bond, which results in the film’s higher conductivity. Meanwhile, ALD-grown TaNx film with a N2+H2 mixed gas or sputtergrown TaNx film with N2 gas mainly contains a semiconducting Ta3N5 bond. Such a different portion of Ta-N and Ta3N5 bond determins the resistivity of the film. Reaction mechanisms are considered by means of the chemistry of the Ta precursor and reactive gas species.
        4,000원
        16.
        2018.02 KCI 등재 구독 인증기관 무료, 개인회원 유료
        The design and fabrication of photoelectrochemical (PEC) electrodes for efficient water splitting is important for developing a sustainable hydrogen evolution system. Among various development approaches for PEC electrodes, the chemical vapor deposition method of atomic layer deposition (ALD), based on self-limiting surface reactions, has attracted attention because it allows precise thickness and composition control as well as conformal coating on various substrates. In this study, recent research progress in improving PEC performance using ALD coating methods is discussed, including 3D and heterojunction-structured PEC electrodes, ALD coatings of noble metals, and the use of sulfide materials as co-catalysts. The enhanced long-term stability of PEC cells by ALD-deposited protecting layers is also reviewed. ALD provides multiple routes to develop improved hydrogen evolution PEC cells.
        4,000원
        17.
        2018.02 KCI 등재 구독 인증기관 무료, 개인회원 유료
        Carbon nanofibers (CNF) are widely used as active agents for electrodes in Li-ion secondary battery cells, supercapacitors, and fuel cells. Nanoscale coatings on CNF electrodes can increase the output and lifespan of battery devices. Atomic layer deposition (ALD) can control the coating thickness at the nanoscale regardless of the shape, suitable for coating CNFs. However, because the CNF surface comprises stable C–C bonds, initiating homogeneous nuclear formation is difficult because of the lack of initial nucleation sites. This study introduces uniform nucleation site formation on CNF surfaces to promote a uniform SnO2 layer. We pretreat the CNF surface by introducing H2O or Al2O3 (trimethylaluminum + H2O) before the SnO2 ALD process to form active sites on the CNF surface. Transmission electron microscopy and energy-dispersive spectroscopy both identify the SnO2 layer morphology on the CNF. The Al2O3-pretreated sample shows a uniform SnO2 layer, while island-type SnOx layers grow sparsely on the H2Opretreated or untreated CNF.
        4,000원
        18.
        2017.07 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        We performed temperature dependent current-voltage (I-V) measurements to characterize the electrical properties of Au/Al2O3/n-Ge metal-insulator-semiconductor (MIS) diodes prepared with and without H2O prepulse treatment by atomic layer deposition (ALD). By considering the thickness of the Al2O3 interlayer, the barrier height for the treated sample was found to be 0.61 eV, similar to those of Au/n-Ge Schottky diodes. The thermionic emission (TE) model with barrier inhomogeneity explained the final state of the treated sample well. Compared to the untreated sample, the treated sample was found to have improved diode characteristics for both forward and reverse bias conditions. These results were associated with the reduction of charge trapping and interface states near the Ge/Al2O3 interface.
        4,000원
        19.
        2017.06 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Aluminum-oxide(Al2O3) thin films were deposited by electron cyclotron resonance plasma-enhanced atomic layer deposition at room temperature using trimethylaluminum(TMA) as the Al source and O2 plasma as the oxidant. In order to compare our results with those obtained using the conventional thermal ALD method, Al2O3 films were also deposited with TMA and H2O as reactants at 280 oC. The chemical composition and microstructure of the as-deposited Al2O3 films were characterized by X-ray diffraction(XRD), X-ray photo-electric spectroscopy(XPS), atomic force microscopy(AFM) and transmission electron microscopy(TEM). Optical properties of the Al2O3 films were characterized using UV-vis and ellipsometry measurements. Electrical properties were characterized by capacitance-frequency and current-voltage measurements. Using the ECR method, a growth rate of 0.18 nm/cycle was achieved, which is much higher than the growth rate of 0.14 nm/cycle obtained using thermal ALD. Excellent dielectric and insulating properties were demonstrated for both Al2O3 films.
        4,000원
        20.
        2016.08 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Aluminum oxide (Al2O3) thin films were grown by atomic layer deposition (ALD) using a new Al metalorganic precursor, dimethyl aluminum sec-butoxide (C12H30Al2O2), and water vapor (H2O) as the reactant at deposition temperatures ranging from 150 to 300 oC. The ALD process showed typical self-limited film growth with precursor and reactant pulsing time at 250 oC; the growth rate was 0.095 nm/cycle, with no incubation cycle. This is relatively lower and more controllable than the growth rate in the typical ALD-Al2O3 process, which uses trimethyl aluminum (TMA) and shows a growth rate of 0.11 nm/ cycle. The as-deposited ALD-Al2O3 film was amorphous; X-ray diffraction and transmission electron microscopy confirmed that its amorphous state was maintained even after annealing at 1000 oC. The refractive index of the ALD-Al2O3 films ranged from 1.45 to 1.67; these values were dependent on the deposition temperature. X-ray photoelectron spectroscopy showed that the ALD-Al2O3 films deposited at 250oC were stoichiometric, with no carbon impurity. The step coverage of the ALD-Al2O3 film was perfect, at approximately 100%, at the dual trench structure, with an aspect ratio of approximately 6.3 (top opening size of 40 nm). With capacitance-voltage measurements of the Al/ALD-Al2O3/p-Si structure, the dielectric constant of the ALDAl2O3 films deposited at 250 oC was determined to be ~8.1, with a leakage current density on the order of 10−8 A/cm2 at 1 V.
        4,000원
        1 2