검색결과

검색조건
좁혀보기
검색필터
결과 내 재검색

간행물

    분야

      발행연도

      -

        검색결과 15

        1.
        2023.02 KCI 등재 구독 인증기관 무료, 개인회원 유료
        This research measured the change in mechanical characteristics of a sample obtained by finishing a metal coating to an engineering plastic manufactured using a 3D printer to satisfy both lightweight and quality characteristics. High-Temp material, which can be applied to space thermal environments with large temperature fluctuations, was applied as the engineering plastic material, and Stereolithography(SLA) method, which has relatively higher precision than Fused Film Fabrication(FFF) method, was selected as the manufacturing method. Electroless & electroplating were performed by metal coating on the surface to satisfy the characteristics of products requiring electrical conductivity. Tensile and bending tests were conducted to verify a change in the mechanical characteristics of a sample completed with a metal coating, and an adhesion test of the metal coating was also added.
        4,000원
        2.
        2021.04 KCI 등재 구독 인증기관 무료, 개인회원 유료
        Using UV nanoimprint lithography(UV-NIL), 1-dimensional(1-D) pattern structures were fabricated on a hybrid mixture thin film of lanthanum oxide and a UV-curable resin. 1-D pattern on a wafer fabricated by the laser interference lithography was transferred to polydimethylsiloxane and this is used as a mold of UV-NIL process. Conducting an X-ray photoelectron spectroscopy, C 1s and La 3d spectra were analyzed, and it was confirmed that hybrid thin film was successfully deposited on glass substrate. Also, transferred pattern structure was observed by using an atomic force microscopy. Through this, it was revealed that agglomerations between 1-D pattern were increased as UV irradiation time increased and this phenomenon disrupted the quality of NIL process. Additionally, liquid crystal(LC) cells with patterned hybrid thin films were fabricated and LC alignment performances were investigated. Using the polarizing optical microscopy and the crystal rotation method, LC alignment state and pretilt angles were observed. Consequently, the uniform homogeneous LC alignment was achieved at UV irradiation time of 1min and 3min where high resolution pattern transfer was observed.
        4,000원
        4.
        2017.10 KCI 등재 구독 인증기관 무료, 개인회원 유료
        Carbon micropatterns (CMs) were fabricated from a negative-type SU-8 photoresist by proton ion beam lithography and pyrolysis. Well-defined negative-type SU-8 micropatterns were formed by proton ion beam lithography at the optimized fluence of 1×1015 ions cm–2 and then pyrolyzed to form CMs. The crosslinked network structures formed by proton irradiation were converted to pseudo-graphitic structures by pyrolysis. The fabricated CMs showed a good electrical conductivity of 1.58×102 S cm–1 and a very low surface roughness.
        4,000원
        5.
        2016.11 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Graphene has shown exceptional properties for high performance devices due to its high carrier mobility. Of particular interest is the potential use of graphene nanoribbons as field-effect transistors. Herein, we introduce a facile approach to the fabrication of graphene nanoribbon (GNR) arrays with ~200 nm width using nanoimprint lithography (NIL), which is a simple and robust method for patterning with high fidelity over a large area. To realize a 2D material-based device, we integrated the graphene nanoribbon arrays in field effect transistors (GNR-FETs) using conventional lithography and metallization on highly-doped Si/SiO2 substrate. Consequently, we observed an enhancement of the performance of the GNRtransistors compared to that of the micro-ribbon graphene transistors. Besides this, using a transfer printing process on a flexible polymeric substrate, we demonstrated graphene-silicon junction structures that use CVD grown graphene as flexible electrodes for Si based transistors.
        4,000원
        6.
        2015.09 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Pellicle is defined as a thin transparent film stretched over an aluminum (Al) frame that is glued on one side of a photomask. As semiconductor devices are pursuing higher levels of integration and higher resolution patterns, the cleaning of the Al flame surface is becoming a critical step because the contaminants on the Al flame can cause lithography exposure defects on the wafers. In order to remove these contaminants from the Al frame, a highly concentrated nitric acid (HNO3) solution is used. However, it is difficult to fully remove them, which results in an increase in the Al surface roughness. In this paper, the pellicle frame cleaning is investigated using various cleaning solutions. When the mixture of sulfuric acid (H2SO4), hydrofluoric acid (HF), hydrogen peroxide (H2O2), and deionized water with ultrasonic is used, a high cleaning efficiency is achieved without HNO3. Thus, this cleaning process is suitable for Al frame cleaning and it can also reduce the use of chemicals.
        4,000원
        7.
        2013.08 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Recently, products that a have 3-dimensional(3D) micro structure have been in wide use. To fabricate these 3D micro structures, several methods, such as stereo lithography, reflow process, and diffuser lithography, have been used. However, these methods are either very complicated, have limitations in terms of patterns dimensions or need expensive components. To overcome these limitations, we fabricated various 3D micro structures in one step using a pair of diffusers that diffract the incident beam of UV light at wide angles. In the experiment, we used positive photoresist to coat the Si substrate. A pair of diffusers(ground glass diffuser, opal glass diffuser) with Gaussian and Lambertian scattering was placed above the photomask in the passage of UV light in the photolithography equipment. The incident rays of UV light diffracted twice at wider angles while passing through the diffusers. After exposure, the photoresist was developed fabricating the desired 3D micro structure. These micro structures were analyzed using FE-SEM and 3D-profiler data. As a result, this dual diffuser lithography(DDL) technique enabled us to fabricate various microstructures with different dimensions by just changing the combination of diffusers, making this technology an efficient alternative to other complex techniques.
        4,000원
        8.
        2013.03 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        Nanosphere lithography is an inexpensive, simple, high-throughput nanofabrication process. NSL can be done in different ways, such as drop coating, spin coating or by means of tilted evaporation. Nitride-based light-emitting diodes (LEDs) are applied in different places, such as liquid crystal displays and traffic signals. The characteristics of gallium nitride (GaN)-based LEDs can be enhanced by fabricating nanopatterns on the top surface of the LEDs. In this work, we created differently sized (420, 320 and 140 nm) nanopatterns on the upper surfaces of GaN-based LEDs using a modified nanosphere lithography technique. This technique is quite different from conventional NSL. The characterization of the patterned GaN-based LEDs revealed a dependence on the size of the holes in the pattern created on the LED surface. The depths of the patterns were 80 nm as confirmed by AFM. Both the photoluminescence and electroluminescence intensities of the patterned LEDs were found to increase with an increase in the size of holes in the pattern. The light output power of the 420-nm hole-patterned LED was 1.16 times higher than that of a conventional LED. Moreover, the current-voltage characteristics were improved with the fabrication of differently sized patterns over the LED surface using the proposed nanosphere lithography method.
        4,000원
        9.
        2010.10 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        This work presents a fabrication procedure to make large-area, size-tunable, periodically different shape metal arrays using nanosphere lithography (NSL) combined with ashing and annealing. A polystyrene (PS, 580 μm) monolayer, which was used as a mask, was obtained with a mixed solution of PS in methanol by multi-step spin coating. The mask morphology was changed by oxygen RIE (Reactive Ion Etching) ashing and temperature processing by microwave heating. The Au or Pt deposition resulted in size tunable nano patterns with different morphologies such as hole and dots. These processes allow outstanding control of the size and morphology of the particles. Various sizes of hole patterns were obtained by reducing the size of the PS sphere through the ashing process, and by increasing the size of the PS sphere through annealing treatment, which resulted in tcontrolling the size of the metallic nanoparticles from 30 nm to 230 nm.
        4,000원
        12.
        2000.12 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        본 연구에서는 Electron Cyclotron Resonance plasma etching system 을 이용한 Ta 박막의 미세 식각 특성을 연구하였다. 염소 plasma를 사용하여 microwave power, RF Power, working pressure, gas chemistry 등의 변화에 따른 식각 profile의 영향을 조사하였고, pattern density가 증가함에 따라 발생하는 microloading 현상을 0.2μm 이하의 패턴에서 확인 하였다. 이를 개선하기 위하여 식각 과정을 두 단계로 분리하는 2단계 식각 공정을 수행하였으며 이를 통해 우수한 식각 profile을 얻을 수 있었다.
        4,000원
        13.
        2000.03 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        W에 소량의 Ti를 첨가하여 그 함량 변화에 따른 X-선 마스크 흡수체용 W-Ti 박막의 물성을 연구하였다. W-Ti 박막은 DC magnetron sputtering system을 이용하여 증착하였다. Sputtering 증착시 증착압력의 증가에 따라 박막의 밀도는 감소하였으며 박막의 응력은 압축응력으로 바뀌었다. Ti 함량이 증가함에 따라 천이 압력 근방에서의 응력곡선의 기울기가 감소하였으며 천이 압력도 점차 낮아지는 경향을 보였다. Pure-W 시편의 경우 천이 압력이 약 6.5mTorr로 비교적 높았으며, 이 때 박막의 밀도는 17.8g/cm3이었고 함량 6.5%에서 가장 낮은 천이 압력(4.3mTorr)을 보였으며, 이때의 박막 밀도는 17.7g/cm3로 pure-W과 거의 차이가 없음을 알 수 있었다. SEM을 이용한 미세구조 분석결과 pure-W 박막은 원형의 주상정 조직을 보이고 있으며, Ti가 첨가된 W-Ti 박막의 경우에는 가늘고 긴 침상 모양을 가지는 주상정 조직을 형성하고 있다. 또한 이러한 침상조직은 Ti함량이 증가할수록 더욱 발달하고 있으며, AFM 분석결과 Ti 첨가 시편 모두 18Å이하의 우수한 평균 표면 평활도를 나타내었다. 나타내었다.
        4,000원
        14.
        1997.05 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        본 연구에서는 LPCVD, PECVD, ECR plasma CVD방법을 이용하여 x선 노광 공정용 마스크의 투과막재료로써의 실리콘질화막의 증착과 그의 물성에 관하여 실험하였다. X선 노광 마스크용 투과막의 재질로써 요구되는 적정인장응력에 가지는 증착조건으로 실리콘질화막을 1μm정도의 두께로 증착하였으며 이 조건에서의 물성을 SIMS, XPS, ESR, AFM, spectrophoto-metry를 이용하여 비교 분석하였다. ECR plasma CVD방법으로 얻은 실리콘 질화막은 화학양론적 조성(Si/N=0.75)에 근접하는 막을 얻을 수 있었으며 표면 평활도와 가시광투과도가 가장 우수한 결과를 얻었다. 저온 증착법인 PECVD로 얻은 막은 Si/N비가 약 0.86정도이고 산소와 수소의 불순물함량이 가장 높게 나타났다. SiH2CI2를 이용한 LPCVD막의 경우는 Si-rich조성을 가지지만 수소 불순물의 함량이 가장 작게 나타났고 표면거칠기는 가장 나쁘게 나타났다. 그러나 위의 방법으로 얻은 실리콘 질화막의 최대 가시광투과도는 633nm파장에서 모두 90%이상의 값을 나타내었고, 또한 표면 평활도도 0.64-2.6nm(rms)로 현재 연구되고 있는 다른 X선 투과막재료보다 월등히 우수한 결과를 보였다.
        4,000원
        15.
        1995.02 KCI 등재 SCOPUS 구독 인증기관 무료, 개인회원 유료
        30KV 전자빔리소그래피 장치를 사용하여 PMMA 3000Å/P(MMA/MAA) 6000Å의 이중구조에서 foot width 0.1μm이하, head width 0.4μm의 T-gate를 형성하였다. PMMA/P(MMA/MAA)/GaAS 구조에 대한 Monte Carlo 시뮬레이션 결과, 산란반경 0.1μm에서 전방산란전자와 후방산란전자의 에너지 비는 19.5:1로 나타났다. 전자빔리소그래피 공정에 필요한 PMMA 및 P(MMA/MMA)의 열처리 조건, 설게 선폭에 대한 패턴감도를 구하였다. MIBK : IPA = 1 : 1 현상액에 대한 PMMA 및 P(MMA/MAA)의 감마값(gamma value)은 2.3이었다. 광 및 전자빔리소그래피 장치의 혼합사용(mix-and-match) 결과 층간정렬도 (alignment accuracy)는 0.1μm(3σ) 이하를 얻었다.
        4,000원